DW5164>APRS,TCPXX*,qAX,CWOP-3:@062114z4510.38N/01040.60E_342/000g000t057r000p001P001b10130h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@062119z4510.38N/01040.60E_342/000g000t057r000p001P001b10130h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@062124z4510.38N/01040.60E_342/000g000t057r000p001P001b10130h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@062134z4510.38N/01040.60E_342/000g000t056r000p001P001b10130h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@062139z4510.38N/01040.60E_034/002g003t056r000p001P001b10130h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@062144z4510.38N/01040.60E_071/002g003t056r000p001P001b10130h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@062154z4510.38N/01040.60E_068/002g003t056r000p001P001b10130h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@062159z4510.38N/01040.60E_063/001g003t056r000p001P001b10130h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@062204z4510.38N/01040.60E_063/000g002t056r000p001P000b10130h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@062209z4510.38N/01040.60E_063/001g003t056r000p001P000b10130h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@062214z4510.38N/01040.60E_063/001g003t056r000p001P000b10130h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@062219z4510.38N/01040.60E_063/002g003t056r000p001P000b10129h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@062229z4510.38N/01040.60E_030/002g003t056r000p001P000b10129h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@062234z4510.38N/01040.60E_030/002g003t056r000p001P000b10130h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@062239z4510.38N/01040.60E_030/002g003t057r000p001P000b10128h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@062244z4510.38N/01040.60E_030/001g003t057r000p001P000b10128h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@062249z4510.38N/01040.60E_035/002g003t057r000p001P000b10128h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@062254z4510.38N/01040.60E_044/003g003t057r000p001P000b10127h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@062259z4510.38N/01040.60E_029/002g003t057r000p001P000b10127h91L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@062304z4510.38N/01040.60E_035/002g003t057r000p001P000b10127h91L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@062309z4510.38N/01040.60E_035/002g003t057r000p001P000b10127h90L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@062314z4510.38N/01040.60E_039/002g003t057r000p001P000b10126h90L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@062319z4510.38N/01040.60E_039/001g003t057r000p001P000b10126h90L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@062324z4510.38N/01040.60E_039/001g002t057r000p001P000b10125h90L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@062329z4510.38N/01040.60E_039/001g002t057r000p001P000b10125h90L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@062334z4510.38N/01040.60E_039/001g002t056r000p001P000b10125h91L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@062344z4510.38N/01040.60E_039/002g003t056r000p001P000b10125h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@062349z4510.38N/01040.60E_039/002g003t056r000p001P000b10125h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@062359z4510.38N/01040.60E_116/002g003t056r000p001P000b10125h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070004z4510.38N/01040.60E_116/001g003t056r000p001P000b10125h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@070009z4510.38N/01040.60E_116/000g001t056r000p001P000b10125h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@070014z4510.38N/01040.60E_107/002g003t056r000p001P000b10124h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@070019z4510.38N/01040.60E_107/002g003t056r000p001P000b10124h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@070024z4510.38N/01040.60E_107/001g001t056r000p001P000b10124h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@070029z4510.38N/01040.60E_107/000g000t057r000p001P000b10124h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@070034z4510.38N/01040.60E_107/000g000t057r000p001P000b10123h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070039z4510.38N/01040.60E_107/001g002t057r000p001P000b10124h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@070044z4510.38N/01040.60E_101/002g003t057r000p001P000b10123h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@070059z4510.38N/01040.60E_303/001g003t057r000p001P000b10123h90L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070104z4510.38N/01040.60E_303/000g001t057r000p001P000b10122h91L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@070109z4510.38N/01040.60E_303/001g002t057r000p001P000b10121h91L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@070114z4510.38N/01040.60E_303/000g002t057r000p001P000b10122h91L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@070119z4510.38N/01040.60E_303/000g001t057r000p001P000b10122h91L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@070129z4510.38N/01040.60E_303/001g002t056r000p001P000b10122h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070139z4510.38N/01040.60E_303/001g002t056r000p001P000b10122h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@070144z4510.38N/01040.60E_303/001g003t056r000p001P000b10122h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@070149z4510.38N/01040.60E_303/001g002t056r000p001P000b10122h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070154z4510.38N/01040.60E_303/000g001t056r000p001P000b10123h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@070159z4510.38N/01040.60E_303/001g002t056r000p001P000b10123h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@070204z4510.38N/01040.60E_172/003g005t056r000p001P000b10124h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@070209z4510.38N/01040.60E_212/003g006t056r000p001P000b10124h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@070219z4510.38N/01040.60E_218/002g003t056r000p001P000b10124h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@070224z4510.38N/01040.60E_214/003g003t056r000p001P000b10124h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@070229z4510.38N/01040.60E_204/003g003t056r000p001P000b10124h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@070234z4510.38N/01040.60E_204/001g003t056r000p001P000b10123h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@070239z4510.38N/01040.60E_204/001g003t056r000p001P000b10123h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070244z4510.38N/01040.60E_200/001g003t056r000p001P000b10122h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070249z4510.38N/01040.60E_200/001g002t056r000p001P000b10122h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@070254z4510.38N/01040.60E_156/001g002t056r000p001P000b10121h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@070259z4510.38N/01040.60E_161/000g001t056r000p001P000b10121h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@070304z4510.38N/01040.60E_161/000g002t056r000p001P000b10121h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@070309z4510.38N/01040.60E_184/001g003t056r000p001P000b10121h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@070314z4510.38N/01040.60E_188/001g002t056r000p001P000b10121h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@070319z4510.38N/01040.60E_188/000g001t056r000p001P000b10121h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070329z4510.38N/01040.60E_248/002g003t057r002p002P002b10121h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@070339z4510.38N/01040.60E_265/001g002t056r002p003P002b10120h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@070344z4510.38N/01040.60E_326/002g003t056r003p004P003b10120h94L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@070349z4510.38N/01040.60E_326/001g003t056r003p004P003b10121h94L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070359z4510.38N/01040.60E_326/000g000t056r004p005P004b10121h94L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070404z4510.38N/01040.60E_326/000g002t056r004p005P004b10121h94L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070409z4510.38N/01040.60E_326/000g002t056r004p005P004b10121h95L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@070419z4510.38N/01040.60E_326/000g001t056r005p006P005b10121h95L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@070424z4510.38N/01040.60E_048/002g002t056r004p006P005b10121h95L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@070429z4510.38N/01040.60E_048/001g002t056r003p006P005b10121h95L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@070434z4510.38N/01040.60E_048/000g001t056r003p006P005b10121h95L005.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@070444z4510.38N/01040.60E_048/001g002t056r002p006P006b10121h95L007.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@070449z4510.38N/01040.60E_048/001g002t056r003p007P006b10121h96L009.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@070459z4510.38N/01040.60E_048/001g002t056r003p008P007b10122h96L012.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@070504z4510.38N/01040.60E_024/001g003t056r004p009P008b10122h96L012.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@070509z4510.38N/01040.60E_022/001g002t056r004p009P008b10122h96L014.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070514z4510.38N/01040.60E_033/001g003t056r005p009P009b10122h96L016.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@070519z4510.38N/01040.60E_033/002g003t056r004p009P009b10122h96L016.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070524z4510.38N/01040.60E_010/002g003t056r005p010P009b10123h96L016.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@070529z4510.38N/01040.60E_026/002g003t056r006p011P010b10123h96L019.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@070534z4510.38N/01040.60E_040/003g003t056r006p012P011b10124h96L023.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@070539z4510.38N/01040.60E_033/002g003t056r006p013P012b10124h96L026.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@070544z4510.38N/01040.60E_017/002g003t056r007p013P013b10124h96L030.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@070549z4510.38N/01040.60E_027/002g003t056r007p014P013b10125h96L033.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070554z4510.38N/01040.60E_039/003g005t056r008p015P014b10125h96L035.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070559z4510.38N/01040.60E_020/002g003t056r008p016P015b10125h96L042.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@070604z4510.38N/01040.60E_033/002g003t056r007p016P015b10126h96L056.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070609z4510.38N/01040.60E_028/002g003t056r007p016P015b10126h96L070.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@070614z4510.38N/01040.60E_028/002g003t056r007p017P016b10127h96L070.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070619z4510.38N/01040.60E_024/002g003t056r007p017P016b10127h96L070.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@070624z4510.38N/01040.60E_024/001g003t056r006p017P016b10127h96L077.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@070629z4510.38N/01040.60E_035/002g003t056r006p017P017b10127h96L083.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@070634z4510.38N/01040.60E_040/002g003t056r006p017P017b10128h96L086.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@070639z4510.38N/01040.60E_036/003g003t057r005p017P017b10128h96L098.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070649z4510.38N/01040.60E_056/003g003t057r003p017P017b10128h96L105.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070654z4510.38N/01040.60E_052/002g003t057r003p018P017b10128h96L104.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070659z4510.38N/01040.60E_076/003g005t057r002p018P017b10129h96L097.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@070704z4510.38N/01040.60E_067/001g003t057r002p018P017b10129h96L084.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070709z4510.38N/01040.60E_051/003g003t057r002p018P017b10129h96L072.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@070714z4510.38N/01040.60E_041/003g003t057r002p018P017b10129h96L067.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@070719z4510.38N/01040.60E_062/003g003t057r002p018P017b10129h96L079.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070734z4510.38N/01040.60E_068/003g003t057r002p019P018b10128h96L109.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@070739z4510.38N/01040.60E_064/003g003t057r002p019P018b10128h96L120.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@070749z4510.38N/01040.60E_056/002g003t058r002p020P019b10128h96L137.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@070759z4510.38N/01040.60E_055/002g003t058r002p020P020b10128h96L153.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@070804z4510.38N/01040.60E_043/002g003t058r002p020P020b10128h96L171.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@070809z4510.38N/01040.60E_072/003g003t058r002p020P020b10128h96L176.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@070829z4510.38N/01040.60E_039/003g005t058r002p021P020b10127h96L193.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070834z4510.38N/01040.60E_074/003g005t059r002p021P020b10128h96L195.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@070844z4510.38N/01040.60E_062/004g007t059r002p021P020b10127h95L202.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@070849z4510.38N/01040.60E_081/003g006t059r002p021P020b10127h95L206.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@070859z4510.38N/01040.60E_073/004g007t059r001p021P020b10127h95L236.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070904z4510.38N/01040.60E_042/004g007t059r001p021P020b10127h95L301.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@070909z4510.38N/01040.60E_060/003g007t060r001p021P020b10127h95L329.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070914z4510.38N/01040.60E_066/002g005t060r001p021P020b10126h94L374.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@070919z4510.38N/01040.60E_079/005g007t060r001p021P020b10127h94L432.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@070924z4510.38N/01040.60E_045/004g007t060r000p021P020b10126h93L411.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070929z4510.38N/01040.60E_027/003g005t061r000p021P020b10126h92L374.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070934z4510.38N/01040.60E_075/004g007t061r000p021P020b10126h92L473.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@070939z4510.38N/01040.60E_031/002g003t061r000p021P020b10127h91L489.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@070944z4510.38N/01040.60E_081/004g006t062r000p021P020b10127h90L527.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@070949z4510.38N/01040.60E_110/002g003t062r000p021P020b10126h90L649.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@070959z4510.38N/01040.60E_144/002g005t062r000p021P020b10128h88L271.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@071004z4510.38N/01040.60E_153/001g003t061r000p021P020b10128h88L227.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@071009z4510.38N/01040.60E_153/001g002t061r000p021P020b10128h87L255.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@071019z4510.38N/01040.60E_066/002g003t062r000p021P020b10127h89L582.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@071024z4510.38N/01040.60E_109/001g003t063r000p021P020b10127h87L991.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@071029z4510.38N/01040.60E_076/002g005t063r000p021P020b10127h86L454.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@071034z4510.38N/01040.60E_091/003g006t063r000p021P020b10127h84L367.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@071044z4510.38N/01040.60E_166/001g002t062r000p021P020b10126h83L241.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@071054z4510.38N/01040.60E_059/001g003t062r000p021P020b10126h83L197.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@071059z4510.38N/01040.60E_036/000g001t063r000p021P020b10126h85L174.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@071109z4510.38N/01040.60E_092/001g003t062r000p021P020b10125h85L111.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@071129z4510.38N/01040.60E_123/001g002t062r000p021P020b10125h87L063.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@071134z4510.38N/01040.60E_124/001g002t062r000p021P020b10124h87L067.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@071139z4510.38N/01040.60E_125/001g002t062r000p021P020b10124h88L067.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@071149z4510.38N/01040.60E_093/000g002t061r000p021P020b10124h86L062.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@071154z4510.38N/01040.60E_093/000g001t061r000p021P020b10124h87L053.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@071159z4510.38N/01040.60E_093/000g001t061r000p021P020b10124h87L042.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@071209z4510.38N/01040.60E_093/001g002t061r000p021P020b10125h88L037.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@071219z4510.38N/01040.60E_103/002g003t061r000p021P020b10125h90L035.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@071224z4510.38N/01040.60E_103/002g003t061r000p021P020b10125h90L037.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@071229z4510.38N/01040.60E_103/002g003t061r000p021P020b10125h91L042.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@071234z4510.38N/01040.60E_103/002g003t060r000p021P020b10125h91L047.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@071244z4510.38N/01040.60E_084/002g003t061r000p021P020b10125h93L060.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@071309z4510.38N/01040.60E_058/005g008t060r001p022P021b10124h93L121.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@071314z4510.38N/01040.60E_065/004g008t060r001p022P021b10123h93L149.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@071319z4510.38N/01040.60E_088/005g008t061r001p022P021b10123h93L160.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@071324z4510.38N/01040.60E_073/004g006t061r001p022P021b10123h92L155.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@071329z4510.38N/01040.60E_065/002g010t061r001p022P021b10123h92L155.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@071334z4510.38N/01040.60E_077/003g005t061r001p022P021b10123h91L172.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@071339z4510.38N/01040.60E_074/006g010t061r001p022P021b10122h90L188.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@071344z4510.38N/01040.60E_094/006g009t061r001p022P021b10122h90L202.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@071349z4510.38N/01040.60E_071/002g007t061r001p022P021b10123h91L227.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@071354z4510.38N/01040.60E_112/003g006t061r000p022P021b10123h91L260.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@071359z4510.38N/01040.60E_094/005g009t062r000p022P021b10122h91L283.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@071409z4510.38N/01040.60E_088/005g008t062r000p022P021b10122h89L262.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@071419z4510.38N/01040.60E_080/008g012t062r000p022P021b10121h87L287.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@071429z4510.38N/01040.60E_070/007g014t062r000p022P021b10121h87L334.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@071434z4510.38N/01040.60E_078/009g012t062r000p022P021b10120h88L360.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@071439z4510.38N/01040.60E_072/007g015t062r000p022P021b10120h89L339.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@071444z4510.38N/01040.60E_072/006g015t062r000p022P021b10121h88L313.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@071449z4510.38N/01040.60E_056/007g014t062r000p022P021b10121h87L276.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@071454z4510.38N/01040.60E_072/010g015t062r000p022P021b10120h87L244.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@071459z4510.38N/01040.60E_067/008g015t062r000p022P021b10120h87L236.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@071504z4510.38N/01040.60E_077/008g012t062r000p022P021b10121h87L352.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@071509z4510.38N/01040.60E_085/006g010t062r000p022P021b10121h88L315.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@071514z4510.38N/01040.60E_073/007g012t062r000p022P021b10121h88L253.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@071519z4510.38N/01040.60E_060/009g013t062r000p022P021b10121h86L185.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@071529z4510.38N/01040.60E_084/005g014t062r000p022P021b10121h88L128.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@071534z4510.38N/01040.60E_079/006g012t062r000p022P021b10121h88L093.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@071539z4510.38N/01040.60E_088/004g008t061r000p022P021b10121h89L093.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@071549z4510.38N/01040.60E_080/005g009t061r000p022P021b10121h89L077.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@071554z4510.38N/01040.60E_093/006g008t061r000p022P021b10121h89L088.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@071559z4510.38N/01040.60E_104/005g007t061r000p022P021b10121h89L100.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@071604z4510.38N/01040.60E_098/003g006t061r000p022P021b10121h89L097.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@071609z4510.38N/01040.60E_092/005g008t061r000p022P021b10121h89L093.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@071614z4510.38N/01040.60E_080/004g007t061r000p022P021b10122h89L081.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@071619z4510.38N/01040.60E_080/003g007t061r000p022P021b10121h89L079.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@071624z4510.38N/01040.60E_059/004g007t061r000p022P021b10121h89L086.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@071629z4510.38N/01040.60E_061/003g008t061r000p022P021b10121h89L076.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@071634z4510.38N/01040.60E_060/005g008t061r000p022P021b10121h89L065.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@071639z4510.38N/01040.60E_060/003g005t061r000p021P021b10122h90L058.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@071644z4510.38N/01040.60E_080/003g006t061r000p021P021b10122h90L051.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@071649z4510.38N/01040.60E_067/004g007t061r000p021P021b10122h90L042.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@071654z4510.38N/01040.60E_060/006g009t061r000p021P021b10123h90L033.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@071659z4510.38N/01040.60E_072/004g008t061r000p021P021b10124h90L028.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@071709z4510.38N/01040.60E_068/005g007t061r000p021P021b10124h90L023.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@071714z4510.38N/01040.60E_074/004g007t061r000p021P021b10124h90L021.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@071719z4510.38N/01040.60E_081/003g006t061r000p021P021b10125h90L018.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@071724z4510.38N/01040.60E_082/003g006t061r000p021P021b10125h90L016.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@071729z4510.38N/01040.60E_083/003g005t061r000p021P021b10126h91L014.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@071734z4510.38N/01040.60E_071/004g006t061r000p021P021b10126h91L012.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@071739z4510.38N/01040.60E_070/002g005t061r000p021P021b10127h91L012.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@071744z4510.38N/01040.60E_069/003g006t060r000p021P021b10128h91L009.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@071749z4510.38N/01040.60E_070/002g005t060r000p021P021b10128h91L007.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@071804z4510.38N/01040.60E_067/004g008t060r000p021P021b10129h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@071814z4510.38N/01040.60E_083/002g007t060r000p021P021b10130h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@071819z4510.38N/01040.60E_076/002g006t060r000p021P021b10130h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@071824z4510.38N/01040.60E_070/003g007t060r000p021P021b10131h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@071829z4510.38N/01040.60E_068/005g008t060r000p021P021b10132h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@071834z4510.38N/01040.60E_074/003g005t060r000p021P021b10132h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@071839z4510.38N/01040.60E_066/003g006t060r000p021P021b10133h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@071844z4510.38N/01040.60E_068/003g007t060r000p021P021b10133h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@071849z4510.38N/01040.60E_057/005g007t060r000p021P021b10134h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@071854z4510.38N/01040.60E_062/005g008t060r000p021P021b10134h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@071859z4510.38N/01040.60E_069/003g008t060r000p021P021b10135h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@071904z4510.38N/01040.60E_068/003g007t060r000p021P021b10136h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@071909z4510.38N/01040.60E_068/003g007t060r000p021P021b10136h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@071914z4510.38N/01040.60E_050/004g007t060r000p021P021b10137h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@071924z4510.38N/01040.60E_069/005g008t060r000p021P021b10139h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@071939z4510.38N/01040.60E_086/006g012t059r000p021P021b10142h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@071944z4510.38N/01040.60E_079/005g008t059r000p021P021b10142h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@071949z4510.38N/01040.60E_079/005g008t059r000p021P021b10143h91L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@071954z4510.38N/01040.60E_067/006g009t059r000p021P021b10144h91L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@071959z4510.38N/01040.60E_079/006g010t059r000p021P021b10144h91L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@072004z4510.38N/01040.60E_081/005g010t059r000p021P021b10145h91L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@072009z4510.38N/01040.60E_071/006g008t059r000p021P021b10145h91L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@072024z4510.38N/01040.60E_065/005g008t059r000p021P021b10146h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@072029z4510.38N/01040.60E_073/005g007t059r000p021P021b10146h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@072034z4510.38N/01040.60E_081/003g006t058r000p021P021b10146h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@072044z4510.38N/01040.60E_072/004g007t058r000p021P021b10146h92L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-3:@072049z4510.38N/01040.60E_073/004g007t058r000p021P021b10146h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-7:@072054z4510.38N/01040.60E_084/004g007t058r000p021P021b10146h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-4:@072059z4510.38N/01040.60E_072/003g007t058r000p021P021b10147h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-6:@072104z4510.38N/01040.60E_089/003g006t058r000p021P021b10146h93L000.WD 31
DW5164>APRS,TCPXX*,qAX,CWOP-5:@072109z4510.38N/01040.60E_086/003g005t058r000p021P021b10147h93L000.WD 31